می خواستم یک core شمارنده 4 بیتی رو تست کنم
اومدم اونو بعنوان component تو یک برنامه ساده قرار دادم اما مثل پست قبلی که ایجاد کرده بودم هشدار سنتز میده
می خواستم بدونم این کارو درست انجام دادم یا نه ؟
اینم اخطاری که میده :
اومدم اونو بعنوان component تو یک برنامه ساده قرار دادم اما مثل پست قبلی که ایجاد کرده بودم هشدار سنتز میده
می خواستم بدونم این کارو درست انجام دادم یا نه ؟
کد:
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity counter_4bits is Port ( clk : in STD_LOGIC; out_4 : out STD_LOGIC_VECTOR (3 downto 0)); end counter_4bits; architecture Behavioral of counter_4bits is component counter_4b is Port ( clk : in STD_LOGIC; q : out STD_LOGIC_VECTOR (3 downto 0)); end component; begin u0: counter_4b port map(clk=>clk,q=>out_4); end Behavioral;
کد:
WARNING:Xst:2211 - "F:/vhdl/core_count/counter_4bits.vhd" line 17: Instantiating black box module <counter_4b>.