اطلاعیه

Collapse
No announcement yet.

پایه های GCLK و GCLR در EPM7128

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    پایه های GCLK و GCLR در EPM7128

    سلام دوستان
    اگه کسی میتونه درباره این پایه ها توی EPM7128 و کجاها باید استفاده کنیم یه توضیح مفید بده ممنون میشم.
    INPUT/GCLK1
    INPUT/OE2/GCLK2
    INPUT/OE1
    INPUT/GCLRn
    با تشکر
    ” تا سگ نشوی کوچه و بازار نگردی هرگز نشوی گرگ بیابان حقیقت”

    #2
    پاسخ : پایه های GCLK و GCLR در EPM7128

    یکی از اساتید لطف کنه مارو راهنمایی کنه. :cry2:
    من اینارو فهمیدم
    INPUT/GCLRn رو اگه صفر کنیم خروجیهای EPM7128 ریست میشه و اونو مثل پایه ریست میکرو بایاس کردم. در واقع وقتی اونو صفر کنید همه خروجیهای EPM7128 صفر میشه پس باید در حالت عادی یک باشه.. خوب اینو کامل فهمیدم.

    INPUT/OE2/GCLK2 و INPUT/OE1 برای فعال کردن خروجیهاست. چه جوری؟؟ من اینارو صفر و یک کردم هیچ تغییری تو خروجیها رخ نداد.
    INPUT/GCLK1 این به ورودی کلاک فیلیپ فلاپای خروجی متصل شده. واسه چی؟ ارسال داده به خروجی؟ اگه وصل نشه چی؟
    ممنون اگه کاملش کنید.
    ” تا سگ نشوی کوچه و بازار نگردی هرگز نشوی گرگ بیابان حقیقت”

    دیدگاه


      #3
      پاسخ : پایه های GCLK و GCLR در EPM7128

      نوشته اصلی توسط micromajid
      یکی از اساتید لطف کنه مارو راهنمایی کنه. :cry2:
      من اینارو فهمیدم
      INPUT/GCLRn رو اگه صفر کنیم خروجیهای EPM7128 ریست میشه و اونو مثل پایه ریست میکرو بایاس کردم. در واقع وقتی اونو صفر کنید همه خروجیهای EPM7128 صفر میشه پس باید در حالت عادی یک باشه.. خوب اینو کامل فهمیدم.

      INPUT/OE2/GCLK2 و INPUT/OE1 برای فعال کردن خروجیهاست. چه جوری؟؟ من اینارو صفر و یک کردم هیچ تغییری تو خروجیها رخ نداد.
      INPUT/GCLK1 این به ورودی کلاک فیلیپ فلاپای خروجی متصل شده. واسه چی؟ ارسال داده به خروجی؟ اگه وصل نشه چی؟
      ممنون اگه کاملش کنید.
      با سلام
      من خیلی دقیق این تراشه رو نمیآ‌شناسم ولی معمولا پایهآ‌های GCLK یا Global CLK در واقع کلاک کل مدار هستند و میآ‌توانند به کلاک همه فلیپآ‌فلاپآ‌ها متصل شوند نه یک سری فلیپآ‌فلاپآ‌ خاص. شما اگر یه مدار سنتز کنی که کلاک داشته باشه میآ‌توانی یکی از IOهای معمولی رو به عنوان کلاک استفاده کنی ولی این کار کار جالبی نیست و بهتر است کلاک ورودی رو روی یک از این پایهآ‌های کلاک قرار دهی.
      در مجموع اگر مدارت کلاک داره این کلاک رو به GCLK متصل کن
      من دوست دارم آزاد فکر کنم، نرمآ‌افزارآ‌ و سختآ‌افزارهای آزاد را به کار ببرم و اگر توانستم نرمآ‌افزار، سختآ‌افزار و محتوای آزاد درست کنم!

      دیدگاه


        #4
        پاسخ : پایه های GCLK و GCLR در EPM7128

        سلام
        INPUT/GCLRn رو اگه صفر کنیم خروجیهای EPM7128 ریست میشه و اونو مثل پایه ریست میکرو بایاس کردم. در واقع وقتی اونو صفر کنید همه خروجیهای EPM7128 صفر میشه پس باید در حالت عادی یک باشه.. خوب اینو کامل فهمیدم.
        مطمئن هستید که این مطلب درسته چون اصولا در pld ها ما هیچ چیز تعریف شده ای نداریم البته من با این ic کار نکردم
        ولی فکر کنم این پایه مثل پایه GSR در محصولات xilinx باشه که اون هم مناسب برای اتصال به پایه های set , reset تمام
        ff های داخل ic است و مناسب سیگنال s,r است نه این که اونها این کار رو سر خود انجام دهند اگه اشتباه می کنم
        لطفا بگید چون من همیشه GCK , GSR , GTS رو سیگنالهای بهینه برای کاربرد خاص می دونستم نه یه سری
        سیگنال fix شده برای انجام کارها مثل پایه میکرو ها

        دیدگاه


          #5
          پاسخ : پایه های GCLK و GCLR در EPM7128

          نوشته اصلی توسط tanbakoo
          سلام
          INPUT/GCLRn رو اگه صفر کنیم خروجیهای EPM7128 ریست میشه و اونو مثل پایه ریست میکرو بایاس کردم. در واقع وقتی اونو صفر کنید همه خروجیهای EPM7128 صفر میشه پس باید در حالت عادی یک باشه.. خوب اینو کامل فهمیدم.
          مطمئن هستید که این مطلب درسته چون اصولا در pld ها ما هیچ چیز تعریف شده ای نداریم البته من با این ic کار نکردم
          ولی فکر کنم این پایه مثل پایه GSR در محصولات xilinx باشه که اون هم مناسب برای اتصال به پایه های set , reset تمام
          ff های داخل ic است و مناسب سیگنال s,r است نه این که اونها این کار رو سر خود انجام دهند اگه اشتباه می کنم
          لطفا بگید چون من همیشه GCK , GSR , GTS رو سیگنالهای بهینه برای کاربرد خاص می دونستم نه یه سری
          سیگنال fix شده برای انجام کارها مثل پایه میکرو ها
          با سلام
          البته من تا اونجایی که یادمه این پایهآ‌ها رو توی xilinx میآ‌شد حتی مانند یه IO معمولی هم استفاده کرد (البته به صورت قطعی مطمئن نیستم).
          من دوست دارم آزاد فکر کنم، نرمآ‌افزارآ‌ و سختآ‌افزارهای آزاد را به کار ببرم و اگر توانستم نرمآ‌افزار، سختآ‌افزار و محتوای آزاد درست کنم!

          دیدگاه


            #6
            پاسخ : پایه های GCLK و GCLR در EPM7128

            نوشته اصلی توسط tanbakoo
            سلام
            INPUT/GCLRn رو اگه صفر کنیم خروجیهای EPM7128 ریست میشه و اونو مثل پایه ریست میکرو بایاس کردم. در واقع وقتی اونو صفر کنید همه خروجیهای EPM7128 صفر میشه پس باید در حالت عادی یک باشه.. خوب اینو کامل فهمیدم.
            مطمئن هستید که این مطلب درسته چون اصولا در pld ها ما هیچ چیز تعریف شده ای نداریم البته من با این ic کار نکردم
            ولی فکر کنم این پایه مثل پایه GSR در محصولات xilinx باشه که اون هم مناسب برای اتصال به پایه های set , reset تمام
            ff های داخل ic است و مناسب سیگنال s,r است نه این که اونها این کار رو سر خود انجام دهند اگه اشتباه می کنم
            لطفا بگید چون من همیشه GCK , GSR , GTS رو سیگنالهای بهینه برای کاربرد خاص می دونستم نه یه سری
            سیگنال fix شده برای انجام کارها مثل پایه میکرو ها
            سلام دوستان عزیز ممنون از پاسخهاتون
            من یه مدار بستم دارم باهاش کار میکنم و وقتی پایه GCLRn رو صفر میکنم همه خروجیها عملا صفر میشن. توی چند تا شماتیک دیدم که این پایه رو دقیقا مثل میکرو بایاس کرده بود. الان دم دستم نیست اگه خواستید بذارم. البته این چیزا موقعی درسته که ازش به عنوان یه ورودی استفاده نکنیم.


            نوشته اصلی توسط manian
            البته من تا اونجایی که یادمه این پایهآ‌ها رو توی xilinx میآ‌شد حتی مانند یه IO معمولی هم استفاده کرد (البته به صورت قطعی مطمئن نیستم).
            درسته manian عزیز میشه ازش به عنوان I استفاده کرد یعنی فقط به عنوان ورودی.
            ” تا سگ نشوی کوچه و بازار نگردی هرگز نشوی گرگ بیابان حقیقت”

            دیدگاه


              #7
              پاسخ : پایه های GCLK و GCLR در EPM7128

              اگر برادران عزیز فقط زحمت بکشن به بلوک داخلی این تراشه یه نیم نگاهی بندازن این همه ایهام جایز نیست.
              البته باید بهینه سازی کد هارو هم کمی وارد باشین.
              فدات.

              دیدگاه

              لطفا صبر کنید...
              X