اطلاعیه

Collapse
No announcement yet.

طریقه ورود به isim

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    طریقه ورود به isim

    سلام
    من با نرم افزار ISE کار میکنم و هر بار که می خوام برم تو محیط isim یه errorجدید میده
    می خواستم ببینم بعد از این که کدم رو نوشتم چجوری می تونم برم تو قسمت isim
    ممنون میشم راهنمایم کنید

    #2
    پاسخ : طریقه ورود به isim

    والا کلی صحبت کردی
    به نظر من top module رو انتخاب کن از قسمت simulition
    سپس از قسمت process گزینه simulate behavioral model رو انتخاب کنی وارد isim میشی
    نمیدونم تونستم کمک کنم یا نه
    مردود شدن بهتر از با تقلب قبول شدن است

    دیدگاه


      #3
      پاسخ : طریقه ورود به isim

      مشکل من همین جاست من کدم رو که مینویسم چه جوری باید top module کنم؟
      من اول کد رو می نویسم بعد از قسمت process گزینه implement top module رو میزنم که بعضی موقع ها میشه بعضی موقع ها نمیشه و error میده.
      بعد از این مراحل هم باز تو isim نمیره
      تونستم منظورم رو برسونم؟

      دیدگاه


        #4
        پاسخ : طریقه ورود به isim

        در قسمت hierarchy روی طرح کلیک راست کرده گزینه set as top module رو انتخاب می کنی
        اونوقت اون طرح به عنوان top module انتخاب میشه
        در ضمن نرم افزار چه خطایی میده ؟
        مردود شدن بهتر از با تقلب قبول شدن است

        دیدگاه


          #5
          پاسخ : طریقه ورود به isim

          من از ورژن ISE14.7 استفاده میکنم و وقتی روش کلیک راست میکنم اصلا set as top module نداره و فقط implement top module رو داره
          بعد من برنامه رو در vhdl module باید بنویسم یا در test bench???

          دیدگاه


            #6
            پاسخ : طریقه ورود به isim

            مثلا این نمونه کد منه(یکیش اینه):
            کد:
            library IEEE;
            use IEEE.STD_LOGIC_1164.ALL;
            use IEEE.STD_LOGIC_signed.ALL;
            use IEEE.STD_LOGIC_unsigned.ALL;
            entity t3 is
              Port ( clk : in STD_LOGIC;
            	    go : in STD_LOGIC;
            			 clr : in STD_LOGIC;
                  a : out STD_LOGIC);
            end t3;
            
            architecture Behavioral of t3 is
            signal cunter,k : std_logic_vector(7 downto 0);
            signal secend : std_logic;
            signal cte  : integer:=50; --for frequense 50hz
            
            begin
            
             process(clk)
             begin
             if(clk'event and clk='1')then
              cunter <= k;
             end if;
             end process;
            k <= ( others => '0' ) when clr='1' or (go='1' and cunter=cte)else
               cunter+1    when go='1' else
            	 cunter;
            secend <= '1' when k=cte else
                 '0';
            			 
            a <= secend;
            
            end Behavioral;



            و این هم error :


            کد:
            Line 28: Multiple declarations of "=" included via multiple use clauses; none are made directly visibleDetermining compilation order of HDL files
             Line 25: Multiple declarations of "=" included via multiple use clauses; none are made directly visible
             Line 12: Unit <behavioral> ignored due to previous errors.

            دیدگاه


              #7
              پاسخ : طریقه ورود به isim

              یا مثلا این یکی
              کد:
              library IEEE;
              use IEEE.STD_LOGIC_1164.ALL;
              use IEEE.STD_LOGIC_signed.ALL;
              ieee-std-1076-2000
              
              
              entity ykhvm is
                Port ( clk : in STD_LOGIC;
              	    clr : in STD_LOGIC;
              	    go : in STD_LOGIC;
                    a  : out STD_LOGIC);
              end ykhvm;
              
              architecture Behavioral of ykhvm is
              
              signal cunter,k : std_logic_vector(7 downto 0);
              signal secend : std_logic;
              signal cte : integer:=50 ;
              
              begin
              process(clk)
               begin
               if(clk'event and clk='1')then
               cunter <= k;
               end if;
              end process;
              k<=(others => '0')when clr='1' or (go='1' and k=cte)else
                cunter+1 when go='1' else
              	cunter;
              secend <= '1' when k=cte else
                   '0';
              a <= secend;
              
              end Behavioral;

              که این هم error:

              کد:
              The Top module has not been specified. This can happen if no sources have been added to the project,

              دیدگاه


                #8
                پاسخ : طریقه ورود به isim

                ببخشید یه سوال
                من ابتدا فایل رو تو VHDL module می نویسم بعد روش کلیک راست میکنم و new source رو میزنم و فایل test bench رو انتخاب میکنم
                روندم درسته؟یا از همون اول باید تو test bench کدم رو بنویسم

                دیدگاه


                  #9
                  پاسخ : طریقه ورود به isim

                  والا دوست عزیز برنامه شما که خطای نگارشی داره که این ربطی به شبیه ساز نداره
                  تا خطا رو برطرف نکنید شبیه ساز باز نمیشه
                  حالا برنامه فوق قراره چیکار کنه ؟

                  در مورد سوال دوم شما ببینید ما دو مدل شبیه سازی داریم که هر دو در تب simulation بایستی اجرا شوند
                  ابتدا برنامه رو در تب implimentation نوشته check syntax رو اجرا کرده اگر اوکی بود سپس به تب simulation می روید و شبیه سازی رو طبق نکته ای که قبلا گفتم اجرا می کنید
                  و یا بایستی از یک برنامه تست استفاده کنید یعنی ابتدا برنامه رو در تب implimentation نوشته check syntax رو اجرا کرده اگر اوکی بود سپس به تب simulation می روید ابتدا بر روی طرح کلیک راست کرده new source و سپس vhdl test bench

                  یک سوال داشتم قبلا با این نرم افزار و یا زبان vhdl کار کردید یا تازه شروع کردید ؟
                  مردود شدن بهتر از با تقلب قبول شدن است

                  دیدگاه


                    #10
                    پاسخ : طریقه ورود به isim

                    والا دوست عزیز برنامه شما که خطای نگارشی داره که این ربطی به شبیه ساز نداره
                    تا خطا رو برطرف نکنید شبیه ساز باز نمیشه
                    ممنون از راهنمایتون ولی
                    این برنامه رو من syntaxکردم و هیچ error نداد
                    حالا برنامه فوق قراره چیکار کنه ؟
                    این یه برنامه است که یک پالس یک ثانیه ایجاد میکنه.
                    ابتدا برنامه رو در تب implimentation نوشته check syntax رو اجرا کرده اگر اوکی بود سپس به تب simulation می روید و شبیه سازی رو طبق نکته ای که قبلا گفتم اجرا می کنید
                    من دقیقا همین مراحل و رفتم

                    در قسمت hierarchy روی طرح کلیک راست کرده گزینه set as top module رو انتخاب می کنی
                    اونوقت اون طرح به عنوان top module انتخاب میشه
                    من از ورژن 14.7 استفاده میکنم و چیزی به عنوان set as top module ندارو فقط implement top module داره
                    این دوتا یکیه؟

                    یک سوال داشتم قبلا با این نرم افزار و یا زبان vhdl کار کردید یا تازه شروع کردید ؟
                    بله ولی نه خیلی حرفه ای

                    دیدگاه


                      #11
                      پاسخ : طریقه ورود به isim

                      من پیشنهاد می دم اگه اولین بارتون هست که از این نرم افزار استفاده می کنید بهتره از یه پروژه ساده تر شروع کنید
                      اونوقت میتونید با یه پروژه ساده همه امکانات نرم افزار رو مشاهده کنید
                      من الان وقت ندارم شاید غروب بتونم ایراد برنامه شما رو برطرف کنم به هر حال ما تو یه نقطه اختلاف نظر داریم من خطای syntax میبینم و شما نمی بینید
                      خودم نسخه 14.4 کرک شده دارم که تقریبا با بیشتر امکاناتش کار کردم و مشکلی نداشتم
                      مردود شدن بهتر از با تقلب قبول شدن است

                      دیدگاه


                        #12
                        پاسخ : طریقه ورود به isim

                        با سلام
                        من ورژن 14.2 رو نصب کردم و درست شد.

                        دیدگاه

                        لطفا صبر کنید...
                        X