اطلاعیه

Collapse
No announcement yet.

شبیه سازی wave form

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    شبیه سازی wave form

    سلام بچه ها من تازه یاد گرفتن VHDL شرو کردم و دلم میخاد هر کدی که مینویسم رو شبیه سازی کنمینی اون شکل موج گونه شو :biggrin: ببینم :دی
    Quartus14.1 هم برنامه م هستش
    کد VHDL م اینه:
    entity multi is
    port(a,b,s:in bit;
    out bit);
    end;
    architecture mux of multi is
    signal o1,o2:bit;
    begin
    o1<= a and not(s);
    o2<= s and b;
    o<=o1 and o2;
    end;
    قسمت VWF هم رفتم وقتی از قسمت نودفایندر لیست رو میزنم مینویسه no nodes available
    اگه میشه راهنمایی کنین مرسی
لطفا صبر کنید...
X