اطلاعیه

Collapse
No announcement yet.

VGA on Fpga

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    VGA on Fpga

    سلام دوستان.
    من میخوام Fpga رو وصل کنم به مانیتور و یه تصویری رو روش پیاده سازی کنم.
    کسی از دوستان میتونه منو راهنمایی کنه که از لحاظ سخت افزاری چه کار باید بکنم و چه قطعه هایی لازم دارم؟و اینکه کد vhdl شو باید چجوری بنویسم؟یعنی باید چه کار کنم که یک تصویرو بتونم نمایش بدم؟؟؟
    با تشکر

    #2
    پاسخ : VGA on Fpga

    خیلی کار راحت و قشنگیه
    می تونی از آی سی های VGA decoder استفاده کنی یا به راحتی از مداری مثل مداری که در ادامه مشاهده می کنی استفاده کنی:

    مقاومت ها در واقع یه جور مبدل دیجیتال به آنالوگ یا همون DAC هستند. برای هر رنگ سه بیت در نظر گرفته شده است. در مجموع 512 نوع رنگ میتونی تولید کنی. چون هر رنگ 3^2 حالت یعنی 8 حالت میتونه داشته باشه. اگر سه بیت 0 باشند کم رنگ ترین حالت میشه و اگر سه بیت یک باشند پررنگترین حالت میشه.
    در واقع آی سی های VGA decoder یک نوع DAC هستند که سیگنال دیجیتال هشت بیتی را به سیگنال آنالوگ تبدیل می کنند و به مانیتور می دهند.
    چند تا لینک مفید هم در رابطه با آشنایی VGA و کد نویسی FPGA :
    www.epanorama.net/documents/pc/vga_timing.html
    http://www.pyroelectro.com/tutorials/fpga_vga_resistor_dac/schematic.html
    https://eewiki.net/pages/viewpage.action?pageId=15925278
    مهم نيست که کجايي هستي، چه رنگي هستي، به چه زبوني حرف مي زني. مهم اينه که انسان باشي.
    http://baranelec.mihanblog.com/
    آینده ای خواهم ساخت که گذشته ام در برابرش زانو بزند...

    دیدگاه


      #3
      پاسخ : VGA on Fpga

      ممنون از پاسختون.من توی یک سایت دیگه دیدم یکم فرق داشت:
      http://www.fpga4fun.com/PongGame.html
      میتونید بگین فرقشون چیه؟ . کلا کدوم یکی درست تره؟؟؟(یا شایدم یکی باشن؟!)

      دیدگاه


        #4
        پاسخ : VGA on Fpga

        هر دو درست هستند فقط در این شماتیک

        رنگ کمتری می تونید نشان بدهید. در مجموع هشت رنگ می تونید در صفحه نمایش نشون بدید.
        مهم نيست که کجايي هستي، چه رنگي هستي، به چه زبوني حرف مي زني. مهم اينه که انسان باشي.
        http://baranelec.mihanblog.com/
        آینده ای خواهم ساخت که گذشته ام در برابرش زانو بزند...

        دیدگاه


          #5
          پاسخ : VGA on Fpga

          ممنون از پاسختون
          :job:

          دیدگاه


            #6
            پاسخ : VGA on Fpga

            اینو نگاه کن
            پروژه کارشناسی من هست
            http://www.eca.ir/forum2/index.php?topic=90822.0

            دیدگاه


              #7
              پاسخ : VGA on Fpga

              سلام,خیلی ممنون واقعا..

              دیدگاه

              لطفا صبر کنید...
              X