اطلاعیه

Collapse
No announcement yet.

package درvhdl

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    package درvhdl

    سلام
    کسی از اساتید میدونه که اگه بخوایم در برنامه اصلی از packageاستفاده کنیم یعنی
    use WORK.x_pack.all;جزئی از هدر برنامه مون باشه،فایل x_packرو که به زبان vhdlاست رو چه طور باید کامپایل کرد با این شرایط که entity, architectureنداره!
    لطف میکنید اگه راهنمایی کنید.

    #2
    پاسخ : package درvhdl

    سلام
    این کاری که میگم رو در نرم افزار modelsimانجام دادم:
    کافیه فایلی که برنامه پکیج رو نوشتیم و فایلی که در ان از پکیج استفاده شده را جدا جدا ذخیره کنیم.بعد از ساخت پروژه به قسمت اضافه کردن فایل به پروزه رفته و هر دوی ان ها را اضافه کنیم.حالا فقط باید گزینه compile allرا انتخاب کرد که هر دو برنامه کامپایل بشه.

    دیدگاه

    لطفا صبر کنید...
    X