اطلاعیه

Collapse
No announcement yet.

شبیه سازی VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    شبیه سازی VHDL

    با سلام.
    برنامه ای هست که بشه توش کدهای VHDL نوشته شده رو گذاشت و شبیه سازی کرد؟(مثلا مثل پروتئوس در مورد میکرو....راستی خود پروتئوس نمیتونه؟! oo
    ممنون.

    #2
    پاسخ : شبیه سازی VHDL

    برنامه های ISE , Quartus , FPGA Advantage تخصصی این کارن میتونی بیشتر راجع بهشون تحقیق کنی....
    با Protel هم میشه یه کارهائی کرد ولی پروتئوس و اوروکد و.... نمیشه.....

    دیدگاه


      #3
      پاسخ : شبیه سازی VHDL

      با سلام
      معلومه که میآ‌شه هر کامپایلر VHDL این امکان رو داره. به عنوام مثال یکی از این برنامهآ‌ها که خیلی هم محبوبه ModelSim هست.
      ghdl و freehdl هم دوتا نمونه متنآ‌باز هستند.
      من دوست دارم آزاد فکر کنم، نرمآ‌افزارآ‌ و سختآ‌افزارهای آزاد را به کار ببرم و اگر توانستم نرمآ‌افزار، سختآ‌افزار و محتوای آزاد درست کنم!

      دیدگاه


        #4
        پاسخ : شبیه سازی VHDL

        من خودم کدهای VHDL رو با quarts II نوشتم و سیمولش هم کردم و جواب میده ...امامنظورم اینه که مثلا این برنامه رو به قطعه بدیم و هر دستوری که هست اجرا شه و مثلا خروجی به یه LED یا هرچی وصل شه و جواب رو ببینم(مثل اینکه واسه میکرو فایل hex رو به قطعه تو پروتئوس میدیمو ادامه ی ماجرا...)
        درباره ی modelsim هم فکر کنم مثل quarts فقط سیموله ی نتیجه است ..درسته؟
        FPGA Advantage هم اطلاعی ندارم،میتونه همچین کاری بکنه؟ protel چی؟بقیه برنامه ها...؟
        ممنون.

        دیدگاه


          #5
          پاسخ : شبیه سازی VHDL

          اگر منظورتون چیزی مثل پروتئوس هست که FPGA و چیپستش رو توی یه شماتیک بزاری و واسه ت سیموله کنه...تو همون پست اول گفتم که احتمالا Protel بتونه....ولی ازین نرم افزار بیشتر واسه PCB استفاده میشه اونم نه PCB خیلی حرفه ای...

          Quartus ISE FPGA-Adv هم فکر نمیکنم درگیر کار با قطعات مختلف و شماتیک بشن.....شاید بقیه دوستان همچین کاری کرده باشن.....
          بهرحال یه سری بردهای به اصطلاح starter یا آموزشی هم هست که میتونین عملا این کار رو روشون انجام بدین....
          من الان به Protel دسترسی ندارم ولی Protel DXP (اگر آخرین نسخه باشه بهتر..) احتمالا این کار رو بکنه ولی من هر وقت شماتیک رو سیموله کردم یا پروتئوس بوده یا اوروکد .... بروتل ازین لحاظ خیلی جالب نیست به نظرم...

          دیدگاه


            #6
            پاسخ : شبیه سازی VHDL

            یه راه دیگه شاید بشه اینه که با لئوناردو که توی FPGAdv پیدا میشه ......... شماتیک قابل سنتز رو در بیاری و بعد توی مثلا پروتئیوس ازش استفاده کنی.....
            الان مدتی هست که امکان استفاده و رارتباط بین نرم افزارها و... فراهم شده به خصوص واسه MATLAB ولی شاید امکان مشابهی واسه کار شما هم پیدا بشه مثلا شما شماتیک رو توی پروتل بکشین و این نرم افزار خروجی FPGA ی که توی شماتیک هست رو از ModelSim بگیره.....یه سرچ کنین ممکنه امکانش باشه چون میدونم که از ModelSim توی خیلی از پکیجها استفاده میشه به این صورت.....

            دیدگاه


              #7
              پاسخ : شبیه سازی VHDL

              پروتل شبیه سازی نداره !!

              دیدگاه


                #8
                پاسخ : شبیه سازی VHDL

                منم مثل شما از پروتل واسه آنالیز استفاده نمیکنم ولی:
                Mixed-signal circuit simulation
                Analyses: Operating point; Transient; Fourier; AC small signal; DC sweep (2
                variables); Noise; Transfer function; Temperature sweep (2 variables);
                Parameter sweep (2 variables); Monte Carlo
                Analog modeling: Spice 3f5 compatible
                Digital modeling: Digital SimCode (XSpice compatible)
                AC sweep types: Linear; Decade; Octave
                Monte Carlo distributions: Uniform; Gaussian; Worst Case

                این بخشی از قابلیتهای پروتل ۲۰۰۴ هست توی قسمت آنالیز میبینی که با spice فرقی نداره ........ چون اصولا پروتل فقط یه GUI روی موتور اسپایس زده.....
                بهرحال پروتل این امکان رو داره.........اصلا شعار پروتل اینه که تمام جوانب طراحی رو با هم داره و قیمت مناسبی داره ... خدائیش واسه کارای کوچیک تا متوسط هم خوبه.....
                پروتل این قابلیت رو داره که قطعات قابل برنامه ریزی مثل FPGA و آنالیزهای PCB رو با هم جمع کنه واسه همین پروتل رو پیشنهاد کردم.......اما خودم خیلی باهاش کار نکردم فقط چند تا PCB باهاش زدم همین.
                .....
                ===========
                اینم در راستای موضوع تاپیک اینجا اضافه بشه بد نیست:
                http://www.altium.com/Products/AltiumDesigner/UnifiedFPGAPCBdesign/

                دیدگاه


                  #9
                  پاسخ : شبیه سازی VHDL

                  نرم افزارهای Simulator برای شبیه سازی طرح های مبتنی بر FPGA
                  به منظور شبیه سازی طرحهایی که به هرکدام از زبان های Verilog یا VHDL یا SystemC یا Verilog AMS طراحی می شن به قرار زیر می باشند (آ‌از قوی ترین به ضعیف ترین )

                  Cadence insicive unified simulator
                  synopsys VCS
                  Mentor ModelSim
                  Aldec Active HDL

                  باز هم هست ولی اینها مشهورترین هایی هستند که شما ممکن هست با آنها برخورد کنید - دو نرم افزار اول فقط تحت لینوکس موجودند

                  دیدگاه


                    #10
                    پاسخ : شبیه سازی VHDL

                    نوشته اصلی توسط mamsadegh
                    نرم افزارهای Simulator برای شبیه سازی طرح های مبتنی بر FPGA
                    به منظور شبیه سازی طرحهایی که به هرکدام از زبان های Verilog یا VHDL یا SystemC یا Verilog AMS طراحی می شن به قرار زیر می باشند (آ‌از قوی ترین به ضعیف ترین )

                    Cadence insicive unified simulator
                    synopsys VCS
                    Mentor ModelSim
                    Aldec Active HDL

                    باز هم هست ولی اینها مشهورترین هایی هستند که شما ممکن هست با آنها برخورد کنید - دو نرم افزار اول فقط تحت لینوکس موجودند
                    با سلام
                    البته برای کامپایل و شبیهآ‌سازی systemC شما نیاز به ابزار خواصی ندارید، تنها چیزی که نیاز دارید کامپایلر ++c است. به عنوان مثال ++g در لینوکس و یا ویندوز. کلا این امکان یکی از ویژگیآ‌آ‌های بارز systemc است.
                    من دوست دارم آزاد فکر کنم، نرمآ‌افزارآ‌ و سختآ‌افزارهای آزاد را به کار ببرم و اگر توانستم نرمآ‌افزار، سختآ‌افزار و محتوای آزاد درست کنم!

                    دیدگاه


                      #11
                      پاسخ : شبیه سازی VHDL

                      بله حق با شماست
                      حمایت از systemC در شبیه سازی هایی مانند IUS و Modelsim شامل طرح های mixed verilog/vhdl/systemverilog/systemC می شود.

                      دیدگاه


                        #12
                        پاسخ : شبیه سازی VHDL

                        نوشته اصلی توسط mamsadegh
                        بله حق با شماست
                        حمایت از systemC در شبیه سازی هایی مانند IUS و Modelsim شامل طرح های mixed verilog/vhdl/systemverilog/systemC می شود.
                        با سلام
                        کاملا صحیح است. فقط یک نکته اضافه کنم که امکان اتصال طراحی systemC به verilog از طریق VPI و یا PLI نیز وجود دارد، فقط باید کامپایلر verilog شما از VPI و یا PLI حمایت کند (که معمولا ۱۰۰٪ کامپایلرآ‌های این امکان را دارند). البته شما باید زحماتی اضافه برای این اتصال بکشید!
                        من دوست دارم آزاد فکر کنم، نرمآ‌افزارآ‌ و سختآ‌افزارهای آزاد را به کار ببرم و اگر توانستم نرمآ‌افزار، سختآ‌افزار و محتوای آزاد درست کنم!

                        دیدگاه


                          #13
                          پاسخ : شبیه سازی VHDL

                          نوشته اصلی توسط mamsadegh
                          نرم افزارهای Simulator برای شبیه سازی طرح های مبتنی بر FPGA
                          به منظور شبیه سازی طرحهایی که به هرکدام از زبان های Verilog یا VHDL یا SystemC یا Verilog AMS طراحی می شن به قرار زیر می باشند (آ‌از قوی ترین به ضعیف ترین )

                          Cadence insicive unified simulator
                          synopsys VCS
                          Mentor ModelSim
                          Aldec Active HDL

                          باز هم هست ولی اینها مشهورترین هایی هستند که شما ممکن هست با آنها برخورد کنید - دو نرم افزار اول فقط تحت لینوکس موجودند
                          به نظرم Active HDL یکمی جا مونده نسبت به بقیه و شاید نشه اونو به حساب آورد
                          توی بازار این حیطه هم Quartus از شرکت Altera و ISE از Xilinix به عنوان نرم افزارهای تولید شده توسط شرکتهای تولید کننده و مجموعه ی FPGA Advantage که به نظرم بهترین هست به خصوص از جهت سنتزی که با Leonardo انجام میده و شامل HDL Designer و Model Sim و Leonardo و... است (البته کاملترین نسخه Model Sim که به نظرم LE هست تحت لینوکس ارائه میشه....) من الان ازین نرم افزار استفاده میکنم جالب اینه که قابلیت استفاده از ویزاردها و مگافانکشنهای دو نرم افزار دیگه هم داره ولی خوب اگه مثلا وقتی میخواین یه IP یا مگافانکشن رو پیاده کنین از نرم افزار اصلی استفاده بشه خیلی بهتره و ارور کمتری داره....
                          بهرحال دوستمون مشکل اینه که میخواد یه FPGA رو توی مدار بزاره و مثل پروتئیوس شبیه سازیش کنه که این با این نرم افزارها هم احتمالا میشه...

                          دیدگاه

                          لطفا صبر کنید...
                          X