اطلاعیه

Collapse
No announcement yet.

فلیپ فلاپ

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    فلیپ فلاپ

    با سلام.اگه ممکنه فرق بین فلیپ فلاپ dبا latch d برام توضیح بدید...البته اگه ممکنه در مورد نمودار زمانیشون بهم اطلاعات بدین..آدرسم:m.danesh1384@gmail.com

    #2
    پاسخ : فلیپ فلاپ

    سلام .................................

    ببینید سوالی که مطرح کردید بر میگرده به ساختار تعریفی فلیپ فلاپ ها و اصطلاحاتی که به اونها ‏آ‌اختصاص پیدا میکنه . در نتیجه باید در مورد فلیپ فلاپ یک مقدار توضیح بدیم .

    همونطور که خودتون هم میدونید فلیپ فلاپ ها تعریف میشن به منظور ثبت داده ها یعنی چی؟ یعنی اینکه به منظور حفظ ، نگهداری یا درج ورودی به این واحد ، و استفاده ی اون در مراحل بعدی مدار از این واحد استفاده می کنیم (بعضا برای سوئیچ و کنترل های toggle هم از اونها استفاده میکنن) . برای مثال از موارد پرکاربردش میشه به واحد های حافظه ی SRAM یا لچ ها اشاره کرد.

    اما نوع پرکاربردی که از این نوع واحدها برای ما قابل شناسایی و قابل استفاده هست ، فلیپ فلاپ های نوع D یا همون D-Type Flip Flop ها هستن . این نوع فلیپ فلاپ ها به واحدهای ایجاد تاخیر هم شهرت دارن. اما منظور از ایجاد تاخیر در این انواع چیه؟ خب این سوال بر میگرده به نحوه ی کار کرد اونها . این نوع فلیپ فلاپ ها از نظر ساختار فیزیکی (پایه های موجود) دارای یک ورودی برای کلاک ، یک ورودی برای بیت ورودی و دو پایه برای داده ی خروجی (یکی معمول و دیگری هم معکوس) هستن (البته این تعریف پایه های تغذیه و مرجع صفر پتانسیل رو شامل نمیشه) .



    با توجه به تعریف پایه ها ، حالا میتونیم به نوع کارایی اون ها بپردازیم . در حقیقت کلاک به عنوان معیار سنکرون کننده یا هماهنگ کننده ی ثبت و انتقال ورودی و درج در خروجیه . این یعنی چی؟ یعنی اینکه تا زمانی که کلاک دارای سطح بالا هست، هر اتفاقی که در ورودی به وجود بیاد با یک تاخیر (به اندازه ی یک پالس منبع کلاک) در خروجی ظاهر میشه . در حقیقت کلاک مجوز درج و ثبت ورودی در خروجی رو صادر میکنه و از این جهته که بهش میگن عامل سنکرون ساز . به نمودار زیر توجه کنید تا قضیه ملموس تر بشه ...



    خب با توجه به شکل بالا متوجه شدیم که مفهوم تاخیر به ازای پالس کلاک یعنی چی . اما برای اینکه باز هم از نظر الگوریتم به قضیه نگاه کرده باشیم ، به کد زیر که با زبان توصیف سخت افزاری VHDL نوشته شده، توجه کنید .....



    با توجه به کد بالا، همون طور که خودتون هم متوجه شدید، عمل انتقال ورودی به خروجی و ثبت در خروجی در صورتی برقرار و عملی میشه که پالس منبع کلاک دارای تغییر سطحی بشه که از نوع بالارونده هست و این شرط سنکرون کردن عمل انتقال و ثبته . (وظیفه ی این فلیپ فلاپ همینه) . اما همون طور که حتما متوجه شدید محدودیت انتخاب فرکانس برای منبع کلاکمون هم همواره وجود داره ، به این معنا که ممکنه منبع کلاک، با پالسی که ایجاد میکنه نتونه تغییرات ورودی رو به عنوان تغییر مجاز در خروجی لحاظ کنه به این معنا که تغییر در ورودی در لحظه یا لحظاتی انجام بشه که پالس منبع کلاک در شرایط مناسب خودش قرار نداره (از سطح LOW برخورداره) . در نتیجه درسته که تغییری در ورودی ایجاد شده اما خروجی هیچ درکی از این تغییرات نداره و علت اون هم اینه که پالس منبع کلاک شرایطش رو فراهم نکرده که عمل انتقال انجام بپذیره . در این حالت اصطلاحا میگن که داده ی ورودی در مدار دزدیده شده (یعنی تغییر در ورودی ایجاد شده اما به دست خروجی نرسیده!!!) . شکل زیر این مطلبو بهتر نشون میده .....



    مفهومی هم که از لچ استنباط میشه دقیقا همین وظیفه ی فلیپ فلاپ D رو داره . یعنی ثبت داده ی ورودی در خروجی . اما در اصطلاح رایج به اون میگن لچ . معنی این واژه هم برمیگرده به نوع کاربردش در مدارات و طراحی های دیجیتال . معنای دقیق این واژه، درج، ثبت آنی یا در معنای رایج تر قفل کردنه . یعنی داده ای که در ورودی قرار میگیره ، به خروجی انتقال داده میشه و تا فعال سازی عملیات لچ مجدد، علیرقم تغییرات ورودی، در حالت قبلی خودش باقی میمونه . یه مثال خیلی بارز از این آیسی ، مدل بسیار متداول 74573 هست . وضعیت آیسی رو در شکل زیر مشاهده می کنید .....



    اما دو تا پایه میمونه که باید اونها رو مورد بررسی قرار بدیم . یکی از اونها OE هست و اون یکی هم LE . اولی برای فعال کردن خود آیسی هست (در واقع فعال ساز آیسیه) و دومی هم برای فعال کردن عمل لچ کردنه . به دو تا شکل زیر توجه کنید .....



    و ............



    در شکل اول پایه ی LE با اختصاص یافتن به سطح پایین، غیر فعاله و در نتیجه هیچ انتقالی از ورودی به خروجی نداریم . اما در شکل دوم این مجوز با اختصاص یک سطح High به این پایه صادر شده و بلافاصله تغییر در ورودی ، به خروجی منتقل میشه و در اصطلاح میگن که اطلاعات روی پایه های آیسی قفل شده (لچ شده) . شکل زیر تحلیل زمانی این فرآیند رو نشون میده ....



    امیدوارم مطالب تونسته باشه سوالتون رو برطرف کنه . موفق باشید .

    دوستان! مدتی کمتر به سایت میام ..

    دیدگاه

    لطفا صبر کنید...
    X