اطلاعیه

Collapse
No announcement yet.

ترکیب vhdl و شماتیک؟؟

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    ترکیب vhdl و شماتیک؟؟

    سلام.لطفا راهنمایی کنید که در quartus چطوری میشه در کنار کد نویسی vhdl یک قسمت ، بجای کد نویس از بلوک دیاگرام آماده استفاده کنی
    یعنی مثلا موقع کد نویسی نیاز داری در این قسمت از یک فلیپ فلاپ D استفاده کنی حالا بجا اینکه کدشو بنویسی بیای در قسمت شماتیک از آمادش استفاده کنی بعد اونو به کد اضافه کنی (سیگنالهای ورودی و خروجی اش را).
    ممنونم
لطفا صبر کنید...
X