اطلاعیه

Collapse
No announcement yet.

cpld & FPGA

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    cpld & FPGA

    سلام
    من با CPLD epm7128 می خوام کار کنم و یه برنامه چشمکزن زدم توش؟پایه های 2 و 84 را با مقاومت به زمین و پایه 1 رامنبع تغذیه از پایه 83 به عنوان
    کلاک خارجی اسیلاتوری استفاده کردم؟
    اما بعد از گزروندن تمام مراحل و لود کردن تو تراشه همه پایه هام 0 سینک شده هستن؟؟؟؟/
    اشکال کجاست؟مطمئنن از برنامه نیست چون من سنتز و سیمولت هم کردم؟آیا تراشه من سوخته؟
    راستی چه جوری بفهمم تراشم سوخته؟یه روش آسون لطفا......؟
    این هم برنامه من.....؟
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;

    entity aaa is port(
    clk: in std_logic;
    led: out std_logic);
    end aaa;

    architecture Behavioral of aaa is
    signal cnt1: std_logic;

    begin
    process( clk)
    begin
    if( rising_edge( clk)) then
    cnt1<= '0' ;
    else if( cnt1= '1&#039 then

    end if;
    end if;
    end process;
    led<= cnt1;
    end Behavioral ;






    ضمنا من تو برنامه نویسی و هک واردم کسی خواست در مورد هر زبونی و جزوه و مقاله من در خدمتم!
    یه مقاله در مورد طراحی کنترلر های قطعه قدرت در منابع تغذیه سوئیچینگ نوشتم با برنامه VHDL هر کس خواست لب تر کنه !من نوکرتونم فقط مشکل منو تو سخت افزار حل کنید؟ :rolleyes:

    #2
    پاسخ : cpld & FPGA

    نوشته اصلی توسط wwre
    سلام
    من با CPLD epm7128 می خوام کار کنم و یه برنامه چشمکزن زدم توش؟پایه های 2 و 84 را با مقاومت به زمین و پایه 1 رامنبع تغذیه از پایه 83 به عنوان
    کلاک خارجی اسیلاتوری استفاده کردم؟
    اما بعد از گزروندن تمام مراحل و لود کردن تو تراشه همه پایه هام 0 سینک شده هستن؟؟؟؟/
    اشکال کجاست؟مطمئنن از برنامه نیست چون من سنتز و سیمولت هم کردم؟آیا تراشه من سوخته؟
    راستی چه جوری بفهمم تراشم سوخته؟یه روش آسون لطفا......؟
    این هم برنامه من.....؟
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;

    entity aaa is port(
    clk: in std_logic;
    led: out std_logic);
    end aaa;

    architecture Behavioral of aaa is
    signal cnt1: std_logic;

    begin
    process( clk)
    begin
    if( rising_edge( clk)) then
    cnt1<= '0' ;
    else if( cnt1= '1&#039 then

    end if;
    end if;
    end process;
    led<= cnt1;
    end Behavioral ;
    ................
    با سلام
    دوست گرامی
    پیشنهاد میکنم ، یه بار مدارتون رو در قسمت شماتیک maxplusll طراحی و کمپایل و سپس نتیجه را در epm7128 آزمایش نمایید .

    دیدگاه


      #3
      پاسخ : cpld & FPGA

      سلام خواهشن به سوالام جواب بدید؟؟؟؟
      هیچ راهی نمونده اشکالاتی که دارم کسی باهاشون موتجه شده؟
      از چی می تونه باشه؟
      دوست عزیزم برنامه شماتیکی با زبان سخت افزاری میشه بگی چه فرقی داره؟

      دیدگاه


        #4
        پاسخ : cpld & FPGA

        راستی اگه یه سیمولاتوری مثل پروتوس برای CPLD یا FPGA اگه هست راهنماییم کنید؟

        دیدگاه


          #5
          پاسخ : cpld & FPGA

          نوشته اصلی توسط wwre
          راستی اگه یه سیمولاتوری مثل پروتوس برای CPLD یا FPGA اگه هست راهنماییم کنید؟
          سلام .............

          در مورد سوالی که پرسیدید باید بگم که من هم مدتی دنبال جوابش بودم و بالا خره هم به نتیجه رسیدم . ببینید اون تصوری که شما از Proteus در سیمولیشن مدارات الکترونیکی و دیجیتالی دارید (که کاملا گرافیکی و دینامیکه ..) در FPGA ها وجود نداره . بلکه برای این خانواده از نمودارهای Timing (test bench استفاده میکنن . نرم افزارهایی هم که برای این منظور به کار میره همون کامپایلر های HDL مثل Xilinx ISE و یا Quartus و یا Active HDL هستن یا برخی سیمولاتورهای دیگه نظیر Modelsim هم میتونن این تایمینگ هارو به خوبی نشون بدن . موفق باشید .
          دوستان! مدتی کمتر به سایت میام ..

          دیدگاه


            #6
            پاسخ : cpld & FPGA

            منون از لطفت حسامم جان
            یس نهایت سپاسگزارم

            دیدگاه

            لطفا صبر کنید...
            X