اطلاعیه

Collapse
No announcement yet.

FPGA

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    FPGA

    سلام
    سوال من در مورد FPGA است .میخوام یه موضوع پروژه به من معرفی کنید که خیلی سنگین نباشه .لطف کنید توضیحاتتون کامل باشه.لطفا سریع جواب بدید.از همکاریتون تشکر میکنم.

    #2
    پاسخ : FPGA

    سلام ................

    میتونید یه A/D بسازید که در نهایت بتونه مثل یه کارت کپچر عمل کنه ..

    دوستان! مدتی کمتر به سایت میام ..

    دیدگاه


      #3
      پاسخ : FPGA

      نوشته اصلی توسط حسام الدین
      میتونید یه A/D بسازید که در نهایت بتونه مثل یه کارت کپچر عمل کنه ..

      با سلام.
      ممکنه یه کم بیشتر توضیح بدید ، و اگر مداری هست ،معرفی کنید؟ :agree:
      و همچنین درباره ی این کارت کپچری که گفتید.
      ممنون

      دیدگاه


        #4
        پاسخ : FPGA

        سلام ............

        لیک های زیر رو مشاهده کنید .. امیدوارم مفید باشه ..

        http://esl.eng.ohio-state.edu/~rsthe...ifocapture.pdf

        http://www.phaseone.com/upload/c1_dslr_le_tutorial.pdf

        http://vlsi1.engr.utk.edu/ece/ajain4-501.pdf

        موفق باشید ..
        دوستان! مدتی کمتر به سایت میام ..

        دیدگاه


          #5
          پاسخ : FPGA

          بد نیست به سایت fpga4fun هم یه نگاه بندازی. اگه می خوای پروژه ای مثل اون بالایی رو انجام بدی یه نمونه از اسیلوسکوپ دیجیتال که خودش نوشته داره و می تونه یه نقطه شروعی حساب بشه.

          دیدگاه


            #6
            پاسخ : FPGA

            سلام . فرض کنید که یک A/D داریم که حروجی سریال دارد(داده بایک کلاک معین بصورت سریال 12 بیتی تحویل FPGA میدهد).شما به محض اینکه سیگنالی از طرف A/D دریافت کرد ید که به منزله شروع ارسال داده جدید است . با پیاده سازی یک شیفت رجیستر در FPGA داده های سریال خروجی را بخوانید و در یک ROM بصورت 4 بییتی ذخیره کنید.

            2- پروتکل I2C رو مطالعه کنید .(ساده است) سپس فرض کنید یکROMداده 8 بیتی دارید ماژولی طراحی کن که از این ROM بخواند و بصورت I2C ارسال کند.

            3- اگر توانستید کتاب FPGA Prototyping by VHDL Examples رو پیدا کنید. اگر نکه میتونم براتونMAIL کنم

            دیدگاه

            لطفا صبر کنید...
            X