اطلاعیه

Collapse
No announcement yet.

مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

    سلام.
    من با vhdl کار میکنم و یک مشکل با دستور تقسیم و باقیمانده تقسیم در vhdl دارم
    این 2 تا دستور فقط با یک عدد ثابت تقسیم میشوند اونم به شرطی که باقیمانده نداشته
    باشه. oo: کسی میتونه به من کمک کنه . :angry:

    #2
    پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

    با سلام
    من دقیقا نمی دونم مشکل شما چیه ولی یه توضیح کلی میدم.
    برای انجام اعمال ریاضی بر روی سیگنال هایی که از نوع integer هستند، در vhdl چند دستور وجود داره:
    عبارت :
    [leftc< a/b[/left]
    یعنی a بر b تقسیم می شود، لذا خارج قسمت که integer است به c داده می شود. یعنی اگر a و b به ترتیب برابر 5 و 3 باشند، c برابر 1 می شود.
    در عبارت :
    c<a mod b
    a بر b تقسیم میشه و باقیمانده آن به c داده می شود. دوباره اگر A و b برابر 5 و 3 باشند، c برابر 2 می شود.
    برای انجام اعمال ریاضی بر روی سیگنال های نوع std_logic_vector باید کتابخانه use ieee. std_logic_unsugned.all را در ابتدای برنامه add کنید.

    دیدگاه


      #3
      پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

      تشکر
      ولی من میدونم که این 2 تا دستور چه جوری کار میکنند ولی در عمل نرم افزار ise خطا میگیره
      مثلا من میخوام از یک ورودی عدد بخونم و بایک عدد مثل 10 تقسیم کنم و با قیماندشم بدست بیارم
      ولی خطا میگیره

      منظورم اینه که دستور mod و / در عمل جواب نمیده امتحان کن :angry:

      دیدگاه


        #4
        پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

        سلام
        لطفا برنامه تون بذارید تا ببینم !

        دیدگاه


          #5
          پاسخ : مشکل با دستورات تقسیم و باقیمانده تقس&

          سلام تو این لینک گزاشتم .
          من میخوام اعداد کلید بخونم یکان و دهگان و صد گان و هزار گان اونو جدا کنم و هر کدومو روی ییک سون سگمنت
          نمایش بدم حالا نمیدونم مشکل چیه
          من نمیدونم چرا تقسیم به 2 مشکلی نداره ولی تقسیم به 10 یا بیشتراعداد نمیشه


          http://www.lon.ir/up/uploads/1271934150.zip

          دیدگاه


            #6
            پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

            کسی نیست کمک کنه
            بابا یuنی شماها که کار کردید تا حالا تقسیم نکردید تو vhdl

            دیدگاه


              #7
              پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

              کسی کار نکرده؟؟؟؟؟؟؟؟؟؟؟؟؟؟؟؟؟؟؟؟


              یک سال بعد


              کسی نیست. تا حالا تقسیم نکرده کسی تو vhdl

              دیدگاه


                #8
                پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

                سلام منم با همین مشکل مواجه هستم .تونستید مشکلتون را حل کنید؟؟؟؟؟؟؟؟؟؟
                دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم

                دیدگاه


                  #9
                  پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

                  سلام نه
                  هنوز نتونستم بفهمم.
                  یکی به من گفت تو بعضی از fpga ها یک قسمت واسه محاسبات ریاضی هست که تو مدل های پائین نیست
                  ولی فکر نکنم چون تقسیم رو بعضی اعداد مثل 2 میشه اونم به شرطی که باقیمونده نداشته باشه. :angry: :angry: :angry: :angry: :angry:
                  بلاخره میفهمم :angry: :angry: :angry: :angry: :angry: :angry: :angry: :angry: :angry: :angry: :angry:

                  دیدگاه


                    #10
                    پاسخ : پاسخ : مشکل با دستورات تقسیم و باقیمانده تقس&

                    نوشته اصلی توسط jonbakhsh
                    سلام تو این لینک گزاشتم .
                    من میخوام اعداد کلید بخونم یکان و دهگان و صد گان و هزار گان اونو جدا کنم و هر کدومو روی ییک سون سگمنت
                    نمایش بدم حالا نمیدونم مشکل چیه
                    من نمیدونم چرا تقسیم به 2 مشکلی نداره ولی تقسیم به 10 یا بیشتراعداد نمیشه


                    http://www.lon.ir/up/uploads/1271934150.zip
                    بهتره بگی این کد قراره چیکار کنه؟ تا بهتر بفهمیم چیکار باید کرد

                    دیدگاه


                      #11
                      پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

                      این کد قراره یکان و دهگان یک عددی جدا کنه و روی سون سگمنت بریزه
                      مثلا اگه داخل یک متغیر ما عدد 12 داریم یک بار تقسیم به 10 میکنیم و یک بار باقیمانده تقسیم
                      به دست میاریم
                      اولی عدد سون سگمنت اول حساب میشه دومی عدد سون سگمنت دومی.
                      مشکل چیه :cry2: :cry2: :cry2: :cry2: :angry: :angry: :angry: :angry:
                      درد من تنهائي نيست . بلکه مرگ ملتي است که گدائي را قناعت و بي عرضگي را صبر و با تبسمي بر لب اين حماقت را حکمت خداوند ميدانند . گاندي

                      دیدگاه


                        #12
                        پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

                        ظاهرا اشکال تو این دو خط زیر هست چون توی یک کلاک دو مقدار به یه سیگنال داده میشه که فکر کنم سنتز نمیشه.
                        s <=l /10;
                        s <=l mod 10;

                        دیدگاه


                          #13
                          پاسخ : مشکل با دستورات تقسیم و باقیمانده تقسیم در vhdl

                          اگر که مطمئن هستی دستور mod درست کار میکنه میتونی با case کد هر عدد رو روی پورت خروجی و روی سون سگمنت بریزی. من فکر کنم دستور mod فقط برای عددهای توان 2 درست کارمیکنه

                          دیدگاه

                          لطفا صبر کنید...
                          X