تصور کنید یک component از یک کانتر 32 بیت در برنامه وارد کنید
این component یک پین clock داره و یک باس 32 بیت-حالا مثلا من فقط میخوام بیت 28 از باس component رو وصل کنم به یک سیگنال-چطور باید بنویسم دستور port map رو؟
ممنون میشم راهنماییم کنید
signal a:integer range 0 to 255; signal a_bit : std_logic_vector(7 downto 0); architecture ... begin a_bit <= a; end; OR signal a:integer range 0 to 255; signal a_bit : std_logic; architecture ... begin a_bit <= conv_std_logic_vector(a,8)(5); end;
دیدگاه