درود
تو برنامه زیر به عملیات انتصاب و شرط من گیر می ده و این خطاها را میده
مکان خطاها را پررنگ کردم
واقعا ممنون میشم کسی می دونه راهنمایی کنه
تو برنامه زیر به عملیات انتصاب و شرط من گیر می ده و این خطاها را میده
مکان خطاها را پررنگ کردم
Error: VHDL error at getpx1.vhd(30): can't determine definition of operator "=" -- found 0 possible definitions
Error: VHDL error at getpx1.vhd(32): character '0' used but not declared for type integer
برنامم برای دریافت یک ماتریس تصویرهError: VHDL error at getpx1.vhd(32): character '0' used but not declared for type integer
واقعا ممنون میشم کسی می دونه راهنمایی کنه
library ieee;
use ieee.std_logic_1164.all;
use IEEE.std_logic_signed.all;
entity getpx1 is
port (a:in std_logic;
clk: in std_logic;
w:in bit
);
end getpx1;
architecture p1 of getpx1 is
type get is array(63 downto 0,63 downto 0)of integer range 0 to 255;
signal gets:get;
signal c:std_logic_vector(7 downto 0);
signal r0:integer range 0 to 255;
signal row,col:integer range 0 to 63;
begin
process(clk)
begin
if(clk'event and clk='1'then
if (w='1'then
for i in 7 downto 0 loop
c(i)<=a;
end loop;
r0<=conv_integer(c);
gets(row,col)<=r0;
col<=col+1;
if ((col="63"and(row<"63")then
row<=row+1;
col<='0';
end if;
end if;
end if;
end process;
end p1;
use ieee.std_logic_1164.all;
use IEEE.std_logic_signed.all;
entity getpx1 is
port (a:in std_logic;
clk: in std_logic;
w:in bit
);
end getpx1;
architecture p1 of getpx1 is
type get is array(63 downto 0,63 downto 0)of integer range 0 to 255;
signal gets:get;
signal c:std_logic_vector(7 downto 0);
signal r0:integer range 0 to 255;
signal row,col:integer range 0 to 63;
begin
process(clk)
begin
if(clk'event and clk='1'then
if (w='1'then
for i in 7 downto 0 loop
c(i)<=a;
end loop;
r0<=conv_integer(c);
gets(row,col)<=r0;
col<=col+1;
if ((col="63"and(row<"63")then
row<=row+1;
col<='0';
end if;
end if;
end if;
end process;
end p1;
دیدگاه